FPGA技术入门与典型项目开发实例

出版时间:2012-1  出版社:化学工业出版社  作者:张晓飞  页数:164  

内容概要

本书面向FPGA的初学者,介绍了FPGA设计过程中的理论基础和工具应用。全书共分为8章,包括FPGA硬件结构,Velog
HDL的编写,Modlsim和Quartus
II的使用方法和设计实例等。内容既有基础理论知识的介绍,又有丰富的实例讲解,使读者能容易、快速、全面地掌握FPGA的设计流程和设计方法。
本书可供FPGA设计培训学员、IC设计爱好者和相关技术人员,高等院校电子工程、通信工程、微电子等相关专业的师生学习使用。

书籍目录

第1章 F1GA硬件结构与系统设计基础
 1.1 F1GA概述
 1.1.1 F1GA的特点
  1.1.2 F1GA的发展方向
 1.1.3 F1GA的应用领域
 1.2 F1GA体系结构
 1.2.1 F1GA的基本结构
  1.2.2 F1GA常用开发工具介绍
 1.2.3 F1GA的设计流程
 1.3 F1GA常用芯片与选用
 1.2.1 F1GA的常用芯片
 1.3.2 F1GA芯片的选用
 小结
 思考题
第2章 硬件描述语言Verilog HDL设计基础
 2.1 了解Verilog HDL
 2.1.1 什么是硬件描述语言
  2.1.2 为什么选择Verilog HDL
 2.2 Verilog HDL的模块
 2.2.1 端口定义
  2.2.2 模块的描述方式
 2.3 Verilog HDL的数据类型和运算符
 2.3.1 数据类型
  2.3.2 运算符
 2.3.3 运算符的优先级
 2.4 Verilog HDL的赋值语句和块语句
 2.4.1 理解阻塞与非阻塞
  2.4.2 块语句
 2.5 Verilog HDL的条件语句
 2.5.1 if语句
  2.5.2 case语句
 2.6 Verilog HDL循环语句与结构说明语句
 2.6.1 循环语句
  2.6.2 结构说明语句
 小结
 思考题
第3章 硬件描述语言Verilog HDL设计进阶
 3.1 Verilog HDL描述方法
 3.2 使用Verilog HDL设计组合逻辑电路
 3.2.1 assign语句实现组合逻辑
  3.2.2 always语句实现组合逻辑电路
 3.2.3 组合逻辑电路的例子
 3.3 使用Verilog HDL设计时序逻辑电路
 3.3.1 always语句实现时序逻辑电路
  3.3.2 时序逻辑电路的例子
 3.4 同步状态机的原理与设计
 3.4.1 什么是状态机
  3.4.2 状态机的设计原理
 3.4.3 典型的状态机实例
3.5 Verilog HDL可综合的代码风格
3.5.1 可综合代码编写原则
3.5 2 F1GA设计时always语句块使用注意事项
3.6 Verilog HDL仿真验证平台
3.6.1 Modelsim仿真工具介绍
3.6.2 Modelsim的使用
3.6.3 编写测试文件
小结
思考题
第4章 F1GA开发软件Quartus II的使用技巧
 4.1 Quartus简介
 4.2 Quarus软件的安装
 4.3 Quartus设计流程
 4.3.1 创建工程
  4.3.2 编译工程
 4.3.3 管脚分配
  4.3.4 配置工程
 4.4 SignalTa1的使用方法
 ……
第5章 FPGA在控制领域的应用
第6章 FPGA在通信领域的应用
第7章 期末FPGA的SOPC设计
参考文献

图书封面

评论、评分、阅读与下载


    FPGA技术入门与典型项目开发实例 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7